先进封装与异构集成路线图

在人工智能、高性能计算、高分辨率传感和其他新兴应用中,对带宽、延迟和能效的需求与日俱增。尽管同构设计的最新进展有助于缓解一些问题,但它们的扩展趋势仍然滞后。

信息与通信技术(ICT)是那些需要移动、存储、计算、通信和保护的数据呈指数级增长的来源。依赖于特征尺寸缩减(维度缩放)的传统半导体技术即将达到其物理极限,在提高系统性能方面仍面临重大挑战。新技术节点的进展已经放缓,超过了两年更新一次的技术节奏。越来越需要“异构集成(HI)”和“More than Moore”来替代传统晶体管扩展,以实现具有成本效益的封装系统(SiPs)。HI将是下一代计算和通信系统的成本和功率效率实现的基础。通过异构集成实现的先进封装将是至关重要的,因为它“为产品密度和尺寸的创新提供了一条替代途径”,“正如摩尔定律在过去55年中引领了全球半导体行业的进步一样,异构集成是未来的关键技术方向。”

HI技术的进步对于满足预期的半导体在ICT方面的重大变化的十年计划是必要的,包括生成更智能的世界机器接口所需的模拟硬件(#1)、全新的存储器和存储解决方案(#2)以及解决高度互联系统和人工智能中新出现的安全挑战的硬件(#4)。然而,HI技术作为解决方案至关重要的重大转变是使通用计算能耗呈现指数增长(#5)。能源消耗每三年翻一番,超过了维度缩放所实现的效率提高,因此,需要新的计算范式。

通常,不同的应用需要特定领域的体系结构和适当的系统集成策略,以有效实现性能、功率、面积、成本(PPAC)权衡,同时确保信号和功率完整性、功率转换和传输、可测试性和安全性。系统集成的可能解决方案策略包括将单独制造的组件水平集成到更高级别的SiP中、单独小芯片的三维(3D)堆叠以及在单个单片集成片上系统(SoC)中复杂的逻辑和存储器分层制造。SiP架构和物理设计需要高保真度以及高效的建模工具和技术,包括基于机器学习的工具和技术。

迈向高密度3D系统集成将提高带宽密度和能效,水平和垂直互连间距缩放以及下一代互连对于实现高带宽密度和能量效率至关重要。考虑到I/O带宽将与计算内核的规模成比例地扩展,伴随着封装引脚数和I/O功耗的指数增长,通常需要在光学互连中实现高带宽密度、能效和覆盖范围的替代创新。

系统集成挑战超出了芯片封装的协同设计;它包括封装材料选择、互连间距缩放的工艺开发和热解决方案设计,同时满足可靠性和制造成品率目标。这些反过来又需要复杂的热点以及缺陷度量、测试和仿真,以实现对封装性能和可靠性的基本理解。最后,新型材料是互连、高密度基板、散热和新兴器件开发创新的基础。

芯片封装架构与协同设计

在人工智能、高性能计算、高分辨率传感和其他新兴应用中,对带宽、延迟和能效的需求与日俱增。尽管同构设计的最新进展有助于缓解一些问题,但它们的扩展趋势仍然滞后。在这种背景下,同构芯片之外的技术创新,尤其是宏观和微观层面的2.5D/3D异构集成,对于实现未来具有各种类型小芯片的ICT系统、并为微电子设计带来显著的性能和成本效益至关重要。这种范式转变将推动小芯片设计IP、异构架构、片上/封装网络和可靠系统集成方面的创新。

芯片封装协同设计的工作流程

一些挑战和研究需求包括:

为HI设计IP:芯片及其信号接口为微电子生态系统带来了一种新的硅模块,具有高带宽、高面积利用率和低成本。它们开启了一种新的IP复用技术和商业模式,允许在没有工艺限制的情况下灵活地生成不同的功能宏。这种变化既需要设计能力来定义物理内核和小芯片到小芯片的接口,也需要硬件软件协同设计来对可重用IP模块进行分类。

异构架构:小芯片和封装设计之间的紧密协作在整个周期中至关重要,包括设计工具、模型和工作流。系统架构师在设计过程的早期就参与其中,以分析系统和软件包,将设计划分为各种小芯片,并评估计算和数据移动中的必要权衡。现阶段的设计和验证工具,如SystemVerilog,需要结合封装设计和规划知识,以支持协同设计工作流。这是对当今分离的ASIC和封装设计过程的重大改进。此外,HI系统的早期预测分析对于最小化架构/微架构定义和设计实现之间的迭代成本至关重要。

HI系统的合成工具:由于封装成为设计过程中的关键部分,因此有必要将封装结合到流程的每个步骤中,包括架构定义、RTL设计、布局和路由、验证以及时序/功率分析。新的工具集还需要在它们之间有一个平滑的接口,并支持未来的小芯片设计套件。HI合成的独特挑战包括小芯片到小芯片接口的时序分析、热/机械应力分析以及各种组件的功率传输和完整性。

测试和可靠性:异构系统包含多个具有显著不同电气、机械和热性质的组件。异构系统的未来测试需要具备足够的模块化,以解决每个组件的特定测试方法,同时兼顾覆盖范围、复杂性和成本。自测试(例如内置自测试(BIST))是一种优选的解决方案,但需要对多个功能的联合测试进行更多的研究。随着各种组件之间的热/机械相互作用在3D集成中不断增加,可靠性评估需要从当前针对每个模块的经验/统计方法转向构建物理可靠性模型,以描述其在产品层面的相关性。

下一代互连

使用更小的晶体管节点(低于20nm)缩小管芯的成本优势已不再可实现。这就是一种新方法的必要之处,即将单片芯片分解为更小的单元,称为小芯片(chiplet)。为了通过器件小芯片和无源元件的异质集成(HI)实现功能扩展,基板必须从芯片载体过渡到集成平台。此集成平台的驱动属性需要新的高级封装方法来实现这些基本要求:

1.性能优化:能够为每个IP块/小芯片选择最佳的硅工艺节点。

2.产品定制:通过选择可提供最佳性能的小芯片组合,实现每个产品的定制。

3.成本降低:与单片SOC相比,由于单个小芯片的产量更高,因此能够降低成本。

我们认为,随着行业朝着为每个应用程序定制的高性能、低功耗解决方案发展,小芯片的数量将继续增长。下一代封装需要支持异构集成中的这一爆炸式增长,因为它支持容纳非常小间距I/O管芯(<10μm间距)和非常小的线/空间(低于1μm L/S)电路的互连。图1显示了这一趋势,只有使用3D小芯片集成才能实现以下两个基本性能要求:

1.以IO/mm和IO/mm²衡量的更高带宽

2.以pJ/Bit衡量的更高效率

图1:从使用Si中介层的有机到2D封装到最终3D小芯片集成的过渡趋势。

表1:实现未来HI的技术开发路线图。绿色-已开发并准备好制造的工艺,黄色-需要额外的开发工作,红色-HVM所需的主要开发工作。

表1显示了互连小芯片实现未来高性能需求的HI时间线。为了满足未来对Si中介层的需求,需要在顶面上产生更多的堆积层以及在背面产生多层RDL层。基板厚度也可能需要从100um减小到50um或更低。对于重建扇出技术,主要的技术驱动因素将是为上部和后部堆积层生产低于1um的L/S。对于这两种HI技术,需要适应I/O焊盘间距小于10um的管芯。此时,组装技术将从传统的焊接方法过渡到混合焊接。未来的开发工作需要专注于管芯到晶片(D2W)和管芯到管芯(D2D)混合键合,以满足未来的性能和成本目标。

功率传输和热管理

从20世纪70年代早期引入微处理器到今天,微处理器在复杂性和性能方面经历了重大的发展。微处理器性能的指数级增长符合摩尔定律,即芯片中的晶体管数量每两年将增加一倍。直到2000年代初,基于Robert Dennard归纳的传统缩放方法在保持功率密度恒定方面非常有效,即使晶体管在每一代中逐渐变小。然而,随着晶体管特征尺寸接近原子尺寸,低于阈值的泄漏成为一个问题。因此,工艺工程师通过材料和晶体管结构的创新以实现必要的面积缩放,以符合摩尔定律。

虽然非传统的缩放方法在缩放晶体管面积的同时提高性能方面相当成功,但它们在降低功率方面并没有那么有效。微处理器的功率密度在2000年代初随着Dennard缩放定律的崩溃而开始增加。此外,虽然它提供了减少栅极延迟的方法,但是缩放互连尺寸并不意味着RC互连延迟的减少。当互连延迟接近时钟周期的很大一部分时,它就成为了提高处理器频率的另一个瓶颈。虽然体系结构的改进促使了每时钟指令(IPC)的改进,但这不足以克服频率缩放的不足,这导致了单核性能扩展的放缓。随着单核性能的下降,微处理器架构师一直在使用多核架构并且并行化工作负载以最大化性能。

内核数量的增加导致了电源轨的增加,这加剧了微处理器供电问题的复杂性。另一个造成额外功率传输挑战的因素是当前的趋势,即通过扩展热设计功率(TDP)来为不断增加的内核供电。在不久的将来,这些高功率段中的微处理器将汲取超过1000A的电流。当谈到低功耗的移动处理器时,主要的重点是降低设备的整体形状因数和最大化电池寿命。结果,微处理器、存储器和电压调节器所占的面积被迫缩小,为更大的电池腾出空间。此外,对更薄设备的推动意味着微处理器的高度以及电感器和电容器等功率传输部件都必须收缩。

直到最近,晶体管密度的增加使得大多数系统级功能能够集成到单个微处理器芯片上。虽然这有助于大幅减少整个系统的面积,但这种方法并非没有缺点。在SoC上实现的许多逻辑电路在最新的工艺节点上没有获得显著的性能优势。在较便宜、较落后的工艺节点上实现这些电路可以降低系统的总体成本,并将性能影响降至最低。通过使用先进的封装技术,如硅插入器、嵌入式多芯片互连桥(EMIB)和3D堆叠芯片技术,推动设计实现异构集成。由于不同工艺节点的不同管芯上的电路具有各自最优的电源方案,因此朝向堆叠管芯架构的驱动带来了显著的功率传输挑战。多个堆叠的芯片经常争夺功率传输金属资源,以支持各自芯片上的不同电源轨。由于必须通过多个硅通孔(TSV)传输功率,位于整个堆叠的顶部管芯上的晶体管也将看到电压降的增加。添加更多的TSV可以帮助缓解这一问题,但对下部管芯的面积利用率有不利影响。

1

功率传输解决方案

集成电压调节器(IVR)已成为解决前面讨论的几个功率传输挑战的关键解决方案。IVR被广泛定义为包含封装或管芯上电压调节的最后阶段的解决方案。IVR选项越来越受欢迎,并已在许多商用微处理器上实现。尽管由于缺乏平台级资源,在平台上安装数十个电压调节器是不现实的,但通过细粒度电源管理将功耗降至最低的方式已经使得引入了大量的电源轨。更有效的解决方案是使用更少的平台级电压调节器,它可以将功率输送到封装或管芯上的各种集成电压调节器。推动IVR的另一个因素是处理器功率水平的稳步增长,尤其是数据中心CPU和GPU。随着功率水平的提升,功率传输网络中的路由损耗会对整体系统效率产生重大影响。IVR可以通过以更高的电压为处理器供电来解决这个问题,这减少了通过功率输送网络的电流,并使PD网络中的路由损耗最小化。在高功率水平下,路由损耗的减少足以抵消IVR带来的转换损耗。

(1)IVR分类

IVR可以根据其拓扑结构进行粗略分类。最简单的片上电源传输解决方案是电源门开关。电源门用于关闭非活动电路的电源,以最小化其功耗泄露。功率门的一个常见应用是使用单个平台级电源向多个核传输功率。功率门的最大缺点是无法调节输出电压,线性或低压降(LDO)调节器通过在其设计中控制回路来解决这一缺点。由于没有能量存储元件,LDO也相对容易在管芯上实现。然而,LDO通常限于输入电压接近输出电压的应用。因此,它们不适合高功率轨道,因为使用IVR的动机是通过以显著更高的电压引入功率来最小化路由损耗。

开关调节器更适合于需要更高输入电压的IVR实现。开关电压调节器使用能量存储元件来实现高效率的电压转换。降压调节器中的储能元件是电感器,而开关电容器电压调节器(SCVR)使用电容器作为其储能元件。由于电容器通常具有比电感器更高的能量存储密度,因此可以设计高效紧凑的SCVR。然而,简单的SCVR存在调节差的问题,并且最适合于从输入到输出的固定比率转换,并且当输入到输出电压显著偏离最佳比率时,通常效率较差。

最近,已经引入了新的基于开关电容器的混合拓扑来解决这些缺点。还实施了基于降压调节器和线性调节器的混合方案。为了用更少的电感器生成可扩展数量的管芯上功率域,已经实现了单电感器多输出(SIMO)稳压器,并增加了用于瞬态管理的线性稳压器。

表2:功率传输要求(绿色:可用解决方案。黄色:需要额外的开发工作。红色:需要大量的开发工作)

2

热管理挑战

了解解决高级封装和异构集成的热挑战的未来路线图需要首先回顾历史架构趋势。在早期的高性能计算应用中,摩尔定律(晶体管缩放)和旨在提高性能的产品架构选择导致了每个连续工艺节点的功率和功率密度大大提高。这导致热管理的第一个重点是解决高功率密度(由于更高频率的晶体管操作和工艺缩放)以及解决更高的总封装功率。这一趋势在2000年代初被打破,这要归因于多核架构等各种改进,以及对每时钟周期指令的关注。在这段多核时期,重点是为计算性能和并行指令提供额外的内核。这个时代还见证了IP(如内存控制器、图形等)异构集成的第一次变革。近年来,由于先进的封装技术,这一趋势明显加快,这些技术允许在封装级别集成不同数量的小芯片、功率传输元件、存储块等,通常集成到3D异构封装中。最近的产品包括超过1000亿个晶体管和47个有源计算裸片,跨越集成到单个封装中的五个不同工艺节点。

这些趋势预计将继续,并且很可能会加速发展到未来的计算机产品中。因此,我们可以预见到的工艺规模和先进封装的热挑战包括:

1.由于持续的工艺缩放以及性能/频率随时间增加,小芯片级别的功率密度增加。在3D堆栈将产生额外的有效功率密度的架构中,这一变化将加剧,需要仔细的堆栈间布局优化,以将功率密度降低到架构能够支持的程度。

2.异构体系结构有利于多点热优化点,因为该部件可能会承受大量工作负载,并且内核/执行单元数量会持续增加。这一问题变得越发尖锐,因为先进的封装热结构通常需要权衡,以牺牲另一部分来改善封装的一部分的热性能。

3.高速I/O的功率密度继续增加(例如,高速SERDES)。在一些情况下,期望将这些IP块放置在3D堆叠的基底管芯中,这将增加耗散IP块功率的难度,因为基底管芯和3D堆叠的顶部之间的较高热阻是由硅金属堆叠中的互连和介电层(面对面和面对背3D堆叠中)引起的。

4.封装规模和系统规模的热优化:随着系统冷却向封装靠拢,封装的尺寸和复杂性不断增加。这导致需要将系统热沉与封装热设计进行协同设计。这是在移动空间中首次发现的,笔记本电脑热解决方案中热管的位置、大小和方向需要考虑到封装内核平面图。随着3D集成和先进封装的不断增长,同时系统级的热解决方案也有望得到改善,这一需求预计会增长。

5.随着3D封装中每层硅厚度的减小,热点的横向扩展水平显著降低。当热量离开封装时,这增加了有效功率(热)密度,但也增加了对封装内热缺陷的敏感性。例如,当硅厚度从700μm减小到100μm时,与封装接触的热界面中的临界缺陷尺寸相应减小。这需要对3D堆栈内的热特性(特别是电导率)的高分辨率(x、y和z)理解。

上述挑战推动了对新要素、计量和建模技术的需求,如表3所示。

表3:热管理要求。(绿色:可用于制造的解决方案。黄色:需要额外的开发工作。红色:HVM需要大量开发工作。白色:仅供参考)

材料

高级封装中使用的材料被定义为在处理后留在半导体封装中的成分(例如,直接材料)。这些材料包括载体(基板、引线框、插入件、构建材料、再分布层)、管芯连接、底部填充物、封装材料和焊料材料,以及热溶液(例如,热界面材料等)。虽然有“辅助”材料用作工艺耗材(如胶带、抗蚀剂、化学品、泥浆),但这些不在本节范围内。

特定应用的驱动因素,包括高性能计算、电源包/电气化和超太赫兹无线基础设施,将用于指定在先进封装环境下提供系统级性能增强所需的新材料能力。重点领域包括所需的材料改进,以实现更高的封装布线密度/小型化、更优的电气性能,以及机械和热性能增强,以提高可加工性和可靠性。需要先进的热解决方案,以在最大器件结温、尺寸和成本的限制下实现系统级性能。所需的封装平台从传统的层压和引线框架封装到高密度倒装芯片/扇出晶片级和大尺寸面板级封装,以实现下一代产品成本和性能目标。

下表中列出的特定应用性能驱动因素驱动加工特性和性能的改进。第3章概述了具体的材料要求和路线图需求。

表4:封装材料能力评估。绿色:可用于制造的解决方案。黄色:需要额外的开发工作。红色:HVM需要大量开发工作。

基板

为了通过器件小芯片和无源组件的异构集成(HI)实现功能扩展,基板必须从芯片载体过渡到集成平台。该基板平台的驱动属性或元素需要转换到新的缩放目标。基板平台的这种驱动属性或元件是通过嵌入分立组件用于芯片互连的凸块间距和I/O缩放以及功率传输。

对于高性能计算(HPC)应用,行业领导者提出了一个可扩展到10000 IO/平方毫米的平台:

互连面密度=每平方毫米10000个凸块或焊盘,需要10微米的凸块或垫间距。

如下图(来源Intel)所示,这种密度将互连要求置于焊料和铜对铜互连之间的过渡区域。这一转变将在组装和基板技术方面带来巨大挑战。

对于基板技术,迹线宽度、铜厚度、相关的间距要求以及电介质材料和形成的盲孔焊盘直径将影响面密度。

此外,利用HI技术的HPC应用将同时需要高和低线密度布线层。布线层的数量将取决于所需的IO密度,该密度基于所需的集成水平和性能。此外,线、层的数量与半线间距(微米)可以帮助说明不同中介层和基板技术的线性布线能力范围。

以上是用于高密度/高性能应用的高级基板的两个缩放元素。

总之,未来高密度基板缩放有几种选择。在一条路径中,通常在小芯片上的细间距凸点管芯将连接到具有≤2μm线和空间特征的高密度有机基板上。虽然有人担心目前的材料和工艺集可能不支持低于5μm线和空间的特性,但相关研究正在进行,开发新材料和工艺,以实现预期目标。另一种途径是使用有机/无机再分配层(RDL)来路由所附接的细间距凸块。模制或组装的RDL结构将附着到密度较低的层压基底上。还有可能使用的新材料替代品。

在任何一种方法上,都有必要关注制造科学和工程,以提高经济性和先进的基板制造实践。需要制定具体的运营目标,包括产量、良率和利用率,并与工业4.0(智能制造)计划保持一致,以使美国在这一领域具有竞争力。

表5:高密度基板技术评估。绿色:可用于制造的解决方案。黄色:需要额外的开发工作。红色:HVM需要大量开发工作。

工作在6GHz以上的RF器件需要创新的解决方案,以实现高水平的功能集成,同时仍然确保最佳管芯工作温度。因此,对新材料、结构和组装技术的需求不断增长。5G和6G设备中使用的基板不再是简单的PCB板。这些基板是支持系统集成的关键构建块,通常被称为系统封装(SiPs)。他们现在正在推动先进的集成电路基板技术,尽管以前采用的是标准PCB。

未来的系统,尤其是那些工作在100 GHz以上的系统[(1-3年)、110GHz-170GHz(D波段)、(3-5年)220-350GHz(G波段)]是支持实现能够处理和传输更多数据的若干芯片的领先产品。用于制造RF封装和模块的先进基板技术比以往任何时候都更受小型化的驱动。

在不久的将来,将需要能够嵌入多个无源元件和至少一个有源元件的技术。因此,下一代RF器件不仅需要具有小型化结构的先进IC基板技术,例如L/S小于15/15μm、节距尺寸小于20μm和焊盘尺寸小于30μm,而且还需要具有提高对准精度的组装技术。

除此之外,导电迹线以及天线贴片将以超低的表面粗糙度制造。结合低Dk/Df材料的实施,这些痕迹可能会发生分层。因此,这些典型的层压基片可能需要增粘剂以避免分层,同时仍然确保优异的性能。最后,在面板级别上制造此类系统(超过500 mm面板的数量级)需要基于模拟的工艺优化,以避免翘曲和可靠性问题。

封装测试

先进的封装和异构集成产生了多种封装系统(SIP)/多芯片模块(MCM)设计和应用的马赛克。这种马赛克的制造测试开发在许多方面都具有挑战性:

●在设计中使用现有芯片导致在SIP上作为整个系统的测试设计(DFT)集成并非做优化

●DFT测试插入通常是在单芯片设计的基础上进行的

●SIP DFT整体非优化的缺陷导致自动化测试设备(ATE)中的仪器更昂贵、成本更高、测试时间更长(顺序比与并发测试可能性)

●大量扫描测试模式卷,向SIP-ATE测试仪传输更大的数据量

●要求ATE测试仪具有更高的数字和非数字信道计数,以及模拟、RF、mmWave和光子学的特定选项。

●小间距插入器/芯片带来挑战和机遇。

应对预期挑战需要创新。以下方面需要行业范围的标准和强有力的市场采纳:

●用于SIP的DFT和测试架构,具有制造快速测试时间,如启用强大的并发测试架构和具有电源感知的诊断测试功能

●通过SIP配置自动扫描和算法测试模式生成、流式传输和采样,将SIP本身视为未来的超级SIP内置自测试(BIST)

●具有功率感知节流功能的超级SIP-BIST引擎

●更强的DFT,针对SIP中的非数字内容(如模拟、RF、毫米波、光子学)的SIP视角进行了优化,或开发灵活的包装器技术,以实现光/非DFT技术,或在经济上不合理的技术。(带有通用任意波形发生器(AWG)集群、数字转换器、射频源/LO/捕获/耦合器/混频器、功率计、光子源/捕获引擎、数据发生器/捕获解调DSP、开关、开关矩阵、射频开关等)

●标准化并重新使用某些ATE工业测试处理器,并将其集成到SIP作为测试引擎,以补充现有的BIST和DFT,从而减少对外部ATE测试仪的依赖

●开发紧凑型数字/模拟/射频/光子源/捕获和分析引擎IP核超级BIST系列作为微型ATE,用于SIP/有源插入器中的DFT

●基于标准化的新测试自动化端口技术,超级BIST引擎集群内核集成具有强大的并发测试视角

●探索在主动插入器中实现上述包装器技术

●减少引脚数DFT,实现更高的引脚数插入器可扩展性

●更精细的螺距探测技术

●子组件验证/测试经济性建模和仿真

●探索基于光子TAP(测试访问端口)的新技术、DFT的有效协议和DFT的测试仪器体系结构的优点/缺点

●EDA行业领导者在SIP封装设计和芯片设计市场采用这些标准的同时,也将模块化、高通用性、重用率和较低的成本考量加入到ATE测试仪仪器和软件产品中。

性能和工艺建模及模型验证

经过验证的性能和工艺建模是加速微电子和先进封装技术发展的关键。对复杂异构集成(HI)系统建模的一个重大挑战是需要从埃到厘米跨越八个数量级的尺度,跨越材料/结构、器件、芯片和封装等挑战,如图2所示,并且需要综合考虑材料、电、光子、电磁、热和机械行为。建模有几个作用:(1)开发新材料和界面(2)在设计探索过程中进行粗略的性能评估(3)通过详细评估对设计进行精确的行为评估(4)通过模拟辅助制造过程进行开发(5)通过缺陷预测提高制造过程产量。为了使模型发挥其预期作用,必须对其进行严格验证。

图2映射到模块化多物理建模和分析平台的协同设计属性的长度比例。

通常,模型中的数据表示包括每个长度尺度中的物理和几何属性。比例之间的信息交换将包括材质、几何属性和模拟属性。考虑到维度尺度由其自身的控制方程组成,尺度之间的接口对于提供独立于基础模型的模块化平台是必要的。因此,模型抽象需要模块化、灵活、材料和几何独立。机器学习(ML)模型可能是此类抽象的合适选择。

建模中必须处理的特定元素的详细信息如下:

快速多物理、协同设计的多分辨率建模。从原子到系统级的快速、大规模、耦合的多物理建模和分析是实现HI协同设计所必需的。不同长度尺度的多物理模型需要以不同的精度水平耦合,以支持不同的协同设计需求。需要融合机器智能和领域专业知识,以显著加快设备、电路和系统级别的建模、分析和优化。

跨设计层的分层不确定性量化(UQ)。需要对过程变化下的复杂非均质系统的不确定性进行量化。由于许多相关的设计块、高维不确定性源以及对不确定性统计行为的了解不足,此类模型非常具有挑战性。

芯片和封装结构的高保真失效模型。精准的多尺度行为模型对于准确估计处理条件的失效时间或存在能力是必要的。随着硅通孔(TSV)和互连线被缩小到亚微米长度尺度,并且焊料凸块被缩小到几十微米,微结构将影响电迁移驱动的空隙以及疲劳断裂。目前,这些失效机制的高保真模型尚不可用。

材料和界面模型。半导体技术的规模化导致了材料和结构之间的尺寸趋同,这反过来又导致了新的特性的出现。例如,电子、电气、热、机械和化学特性之间的复杂卷积。此外,缩放导致界面对材料至关重要。一般来说,需要建立材料和界面的模型,将尺度从量子尺度过渡到连续尺度。

材料特性数据库。从器件级(FEOL、BEOL金属和低k材料)、芯片级(3D互连和键合接口)到封装级(焊料/底充料、模具化合物、再分布层(RDL)、凸点、热界面材料(TIM)和冷却解决方案)的建模结构,需要开发一个准确的材料数据库。还需要使用计算流体动力学(CFD)模型建立有效传热系数预测的经验关联。

表6列出了上述建模需求的当前状态。

表6:建模需求

可靠性

产品的可靠性是其在预期寿命内执行核心功能的能力。核心功能性能的降低以及辅助功能的损失将被视为可靠性的降低,而不是可靠性的损失。可靠性的损失和降低是由过度应力和/或磨损机制导致的设备、组件、子系统和系统故障造成的。当施加的载荷超过材料的临界载荷/强度时,会发生过应力失效,而在重复或循环施加亚临界载荷时,会出现磨损失效。过度应力失效机制通常是灾难性的和突然的,如脆性开裂、脱粘、熔化和介电击穿。磨损失效机制是通过累积损伤逐渐形成的,例如互连开裂、脱粘和电迁移。热、机械、电气、化学、辐射、磁性和湿度荷载既可以单独作用,也可以相互联合作用,从而导致过度应力和磨损失效机制。这些负载可能来自产品的内部工作、外部环境或操作条件。

此类内部和外部条件的应用特定大小和持续时间对于评估可靠性至关重要。这种评估可以通过物理原型和测试或通过虚拟(模拟)原型和测试来执行。表7提供了特定于应用的可靠性鉴定指标。

可靠性鉴定指标应能够创建类似的故障模式,如在不同应用的延长运行条件下发生的故障模式。尽管目前的许多标准都是基于过去的实践和传统,但随着对潜在故障物理的理解以及基于真实现场性能的改进和智能数据分析,这些标准仍在不断发展。因此,表7中的大多数标准在未来10年中似乎不会发生重大变化。然而,随着新材料和工艺以及对功率、性能、成本和尺寸更严格要求,如果新材料、工艺和尺寸在设计过程中不考虑可靠性,则可能难以满足相同的可靠性指标。因此,可靠性指标的未来列以红色显示。

表7:特定应用可靠性标准

请扫码关注数字化经济观察网
责编:夏丽
参与评论
文明上网,理性发言!请遵守新闻评论服务协议
0/200